wordpress   发布时间:2022-04-02  发布网站:大佬教程  code.js-code.com
大佬教程收集整理的这篇文章主要介绍了程序集 – Windows上的ARM模拟器大佬教程大佬觉得挺不错的,现在分享给大家,也给大家做个参考。

概述

我正在从教科书中学习ARM处理器…… 我认为如果我可以在ARM模拟器上应用我学到的东西会更有用…编写代码然后观察结果和不同的执行阶段会更有趣…… 我已经搜索过了,但我找到的只是linux上的免费软件或Windows上的演示 是否有模拟器允许我查看在Windows上运行的ARM处理器(任何版本!)的执行步骤和不同更改? 谢谢 我有一个拇指模拟器,应该编译任何地方,Windows, Linux,Mac
我正在从教科书中学习ARM处理器……

我认为如果我可以在ARM模拟器上应用我学到的东西会更有用…编写代码然后观察结果和不同的执行阶段会更有趣……

我已经搜索过了,但我找到的只是linux上的免费软件或Windows上的演示

是否有模拟器允许我查看在Windows上运行的ARM处理器(任何版本!)的执行步骤和不同更改?

谢谢

我有一个拇指模拟器,应该编译任何地方,Windows,Linux,Mac,等等. thumbulator.blogspot.com.添加一些printfs,无论你想看到什么,你都是金色的.例如,ARMs模拟器装配器,在许多地方以源形式提供,例如在gdb源中. qemu效果很好,但很难“看到你的代码”.最好的方法是为arm核心创建一个hdl源(例如verilog)并创建vcd文件或其他波形格式.除非你为一家拥有嵌入式设备的芯片公司工作,否则你可能不会找到它.我可能错了,但我认为ARM的所有开臂核心都很快就被取消了.

妈妈有一两个手臂核心.视觉男孩前进有一个手臂核心. nds仿真器有arm核心.

或者你可以做我用缩略图做的事情并自己编写,我发现它比让其他人向我展示我想看的东西更容易.当然我很懒,只做拇指指令集模拟器而不是全臂模拟器.这并不困难,只是耗费时间.

编辑

好的,我的立场得到纠正.谷歌的话verilog. isc.tgz包含一个手臂的行为模型模型,它可以编译并运行icarus verilog(免费).

注释掉所有$save_store();行(就像C使用//).

添加几行代码到testarm.v(在arm10之后和初始开始之前).

初始
开始
$转储文件( “test.vcd”);
$dumpvars(0,ARM10);
结束

永远#50000 $完成;

然后

iverilog -o hello testarm.v arm10.v

vvp你好

它将运行50000单位的时间,完成SIM卡并关闭vcd文件.要使SIM卡更长或更短,请更改总是#50000线.

获取gtkwave(免费)的副本以查看vcd文件.使用gtkwave是另外一篇文章,你需要点击test_arm旁边的标志,然后点击那里的arm10,在信号下点击说addr_bus突出显示该行,然后点击追加框,点击在data_bus上,点击追加按钮,可能会添加一些寄存器,r1,r2等.有一个工具栏,你也可以使用菜单时间 – > zoom-> zoom full,这是我安装的ALT-F .然后你将学习如何放大和缩小事物.

从个人经验来看,你不会“看到你的代码”比使用hdl模拟器更好.实际上,一旦你习惯了这一点,你可能很难在硅片上运行,你几乎看不到任何东西.

我做的不仅仅是运行该行为模型中包含的测试程序.不知道你能做什么或不能做什么.

verilog $readmemh非常简单,它只是想读取十六进制行到指定的内存中.因此,您可以轻松地创建一个工具来获取编译后的arm代码并创建verilog模拟所需的ascii文件.

大佬总结

以上是大佬教程为你收集整理的程序集 – Windows上的ARM模拟器全部内容,希望文章能够帮你解决程序集 – Windows上的ARM模拟器所遇到的程序开发问题。

如果觉得大佬教程网站内容还不错,欢迎将大佬教程推荐给程序员好友。

本图文内容来源于网友网络收集整理提供,作为学习参考使用,版权属于原作者。
如您有任何意见或建议可联系处理。小编QQ:384754419,请注明来意。
标签: